Fakulteta za elektrotehniko - Tržaška 25 - 1000 Ljubljana - Slovenija

Laboratorij za načrtovanje integriranih vezij

Tel: +386 1 4768 351

Predmeti

1. stopnja

3. stopnja

Dodiplomski (stari)

Podiplomski (stari)

Projekti in diplome

Predstavitev učbenika

Načrtovanje digitalnih vezij v jeziku VHDL

Avtor: Andrej Trost
Založba: Založba FE in FRI
Izid: 2011, druga izdaja

  • 1  Uvod 
  •    1.1  Načrtovanje digitalnih vezij in sistemov  2
  •    1.2  Načrtovalski koraki  3
  •    1.3  Napotki za bralce  4
  • 2  Osnove jezika VHDL 
  •    2.1  Vmesnik, arhitektura in signali  5
  •    2.2  Operatorji  10
  •    2.3  Pogojni in izbirni stavki  17
  •    2.4  Visokonivojski opis obnašanja vezja  22
  • 3  Opis in sinteza vezja 
  •    3.1  Pomnilni gradniki  29
  •    3.2  Sekvenčna vezja  36
  •    3.3  Sekvenčna vezja z registrom stanj  41
  •    3.4  Strukturno načrtovanje  45
  • 4  Simulacija vezij 
  •    4.1  Simulator diskretnih dogodkov  51
  •    4.2  Simulacija procesov  55
  •    4.3  Testne strukture  61
  • 5  Načrtovanje digitalnega sistema 
  •    5.1  Izvedba algoritma z vezjem  67
  •    5.2  Načrtovanje grafičnega krmilnika  75
  •    5.3  Paketi in parametrična vezja  83

Povzetek

Knjiga obravnava postopke načrtovanja digitalnih vezij v visokonivojskem jeziku VHDL. Uporablja se lahko kot gradivo za laboratorijske vaje ali kot samostojni priročnik pri načrtovanju digitalnih vezij in sistemov. Na praktičnih primerih so predstavljeni osnovni konstrukti jezika za modeliranje in simulacijo vezij, v nadaljevanju pa tudi principi načrtovanja na nivoju RTL za avtomatično sintezo vezij. Zadnja poglavja so namenjena zahtevnejšim načrtovalcem digitalnih sistemov.

Avtor

Andrej Trost je doktoriral leta 2000 na Fakulteti za elektrotehniko Univerze v Ljubljani in bil habilitiran v naziv docent. Raziskovalno se ukvarja z razvojem vezij v tehnologiji FPGA in načrtovanjem digitalnih sistemov, ki jih razvija tudi za industrijske aplikacije. Visokonivojsko načrtovanje vezij poučuje pri predmetih na dodiplomskem in podiplomskem študiju ter seminarjih in delavnicah za industrijo.

Ključne besede: VHDL, visokonivojski jezik, načrtovanje vezij, digitalna vezja, sinteza.

(c) LNIV 2011