[Home](index.html) | [Quartus](quartus.htm) | Blink | [Simulacija](modelsim.htm) # Blink test s Quartus Prime in DE0 Nano Utripanje LED (blink test) je običajno prvi preizkus, ki ga naredimo na razvojni plošči. S tem potrdimo, da znamo pravilno izvesti vse korake prevajanja modela vezja in nastavitve razvojne plošče z vezjem FPGA. Naredili bomo test na razvojni plošči DE0 Nano. ## Quartus projekt Odpri projekt iz arhiva [DE0_sistem.qar](https://lniv.fe.uni-lj.si/courses/modB/DE0_sistem.qar), kjer so že narejene nastavitve FPGA in priključkov za razvojno ploščo DE0 Nano. Določi mapo (Destination folder) v kateri bo projekt. ![](./img/restore.png) Nato klikni New in izberi VHDL file, tako da se odpre urejevalnik, kamor vnesemo opis vezja. Naredimo števec, ki bo delil uro s faktorjem 2^25 in določimo, da je najvišji bit števca povezan z led(0). Priključki z imeni clk in led so v pripravljenem projektu že povezani na ustrezne signale razvojne plošče, npr. clk na oscilator frekvence 50 MHz. ```vhdl library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sistem is port ( clk : in std_logic; led : out unsigned(7 downto 0) ); end sistem; architecture RTL of sistem is signal c : unsigned(24 downto 0) := to_unsigned(0,25); begin process(clk) begin if rising_edge(clk) then c <= c + 1; end if; end process; led <= "0000000" & c(24); end RTL; ``` Pred prevajanjem projekta preverimo ali imamo v orodju Quartus vse prav nastavljeno: ime glavne entitete se mora ujemati z imenom entity v datoteki in imenom datoteke v projektu. ## Prevajanje in preizkus Prevajanje izvedemo s Start Compilation (Ctrl+L) in počakamo, da se izvedejo vsi koraki. V poročilu prevajalnika vidimo zasedenost vezja. ![](./img/report.png) Razvojno ploščo priključimo na računalnik, nato pa naložimo prevedeno vezje v FPGA z orodjem Programmer (Tools). Če je gumb Start onemogočen in piše No Hardware, se moramo prepričati, da je razvojna plošča res priključena, potem pa klikniti Hardware Setup in izbrati Currently selected hardware: USB-Blaster. Prenos datoteke izvedemo s klikom na Start. ![](./img/program.png)